LEDを点滅させる(金の力で) の0

もし、お金がないなら、最初から点滅するLEDを買えば良いと思います。
http://akizukidenshi.com/catalog/items2.php?q=%C5%C0%CC%C7
しかも豪華。

LEDを点滅させるNの方法

  • 点滅するLEDを買う
  • 点滅専用マイコンを作る - AVRなりPICなりなんなりでプログラムを書けばそれでOK
  • 点滅専用ICを自作 ← これ

IC作ってどうすんだという気持ちはあるにせよ、とにかく、FPGAで出来るのはこれ。

やること

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity top is
   port(
      led : out std_logic;
      clk : in std_logic
   );
end top;

architecture arc_top of top is
   signal counter : std_logic_vector(24 downto 0);
begin
   countup : process(clk) begin if rising_edge(clk) then
      counter <= counter + 1;
   end if; end process;

   led <= counter(24);
end arc_top;

こんなのをエディタで作り、FPGAのピン設定をして書き込む。
エディタで作るのは簡単だけど、FPGAのピン設定をして書き込むまでが大変なんだこれが。。
というわけでピン設定の仕方とかが次に来る予定。HDLの意味とか意義は後回しだ。。